Welcome![Sign In][Sign Up]
Location:
Search - vhdl ps2

Search list

[VHDL-FPGA-VerilogPS2

Description: 应用VHDL编写的基于FPGA的PS2键盘程序-Applications written in VHDL FPGA-based procedures for PS2 keyboard
Platform: | Size: 262144 | Author: 紫云 | Hits:

[BooksLCD_PS2

Description: 基于NIOS II 的ps2驱动LCD程序,可实现光标的准确定位-Based on the ps2 drive LCD NIOS II program, enabling the exact location of the cursor
Platform: | Size: 817152 | Author: wangkun | Hits:

[VHDL-FPGA-Verilogps2

Description: ps2,硬件描述语言VHDL,代码简洁,功能完善-ps2, hardware description language VHDL, the code simple, functional ..
Platform: | Size: 19456 | Author: xin | Hits:

[VHDL-FPGA-VerilogPS2

Description: 用VHDL语言写了PS2键盘的程序,经调试正常使用,是一个不错的模块-PS2 VHDL
Platform: | Size: 346112 | Author: cc | Hits:

[VHDL-FPGA-Verilogkeyboard_PS2

Description: This program provides the communication between keyboard PS2 with DE1 KIT
Platform: | Size: 815104 | Author: QuocHuyHoang | Hits:

[VHDL-FPGA-Verilogps2

Description: 除了顶层模块(ps2_key),三个底层模块分别为PS/2传输处理模块(ps2scan)、串口传输模块(my_uart_tx)以及串口波特率选择模块(speed_select)(下面只给出顶层模块和PS/2传输处理模块的verilog代码,串口部分的设计可以参考串口通信设计)。-In addition to top-level module (ps2_key), three low-level modules are PS/2 transmission processing module (ps2scan), serial transmission module (my_uart_tx) and the serial port baud rate selection module (speed_select) (the following is given only to top-level module and PS/2 verilog code for transport processing module, serial part of the design can refer to the serial communication design).
Platform: | Size: 155648 | Author: lishaohui | Hits:

[VHDL-FPGA-VerilogProject

Description: 基于SOPC实现的俄罗斯方块,用VGA来做显示,PS2键盘来控制-SOPC-based implementation of Tetris, to do with the VGA display, PS2 keyboard to control the
Platform: | Size: 13156352 | Author: lingruinin | Hits:

[VHDL-FPGA-Verilog05380886ps2

Description: PS2鼠标驱动,网上找的,可以试试,PS2鼠标驱动,网上找的,可以-PS2 mouse driver, find online, you can try, PS2 mouse driver, find online, you can try
Platform: | Size: 1024 | Author: 高玺亮 | Hits:

[VHDL-FPGA-VerilogPS2_jianpanshibie_FPGA

Description: 实现了PS2接口的主键盘和小键盘的识别,采用第二套键盘译码表,如果你想使用第一套或者第二套键盘译码,只需做少量的修改就可以实现。只要稍加修改就可以实现你所希望的功能,此程序只实现了LCD灯的控制。-PS2 interface implements the main keyboard and keypad recognition, using the second set of keyboard decoding table, if you want to use the first set or second set of keyboard decoding, just make a few changes can be achieved. Can be achieved as long as a slightly modified the function you want, this program only achieved control of LCD lights.
Platform: | Size: 519168 | Author: | Hits:

[VHDL-FPGA-VerilogSourceFiles

Description: PS2鼠标程序,并且可以在VGA上显示。在VGA上显示一个鼠标的光标。-PS2 mouse program, and can be displayed on the VGA. VGA display in a mouse cursor.
Platform: | Size: 18432 | Author: mo kong | Hits:

[VHDL-FPGA-Verilogcpld-PS_2-vhdl

Description: 此源码为cpld驱动PS2 键盘的vhdl程序-The source code for the driver PS2 keyboard cpld vhdl program
Platform: | Size: 61440 | Author: 刘丽超 | Hits:

[VHDL-FPGA-VerilogXSA-P2MOUSE

Description: simple ps2 mouse vhdl project
Platform: | Size: 512000 | Author: dumbmage | Hits:

[VHDL-FPGA-Verilogmahdifza@yahoo.com-mous-vga-and-led-ps2

Description: vhdl mouse ps2 driver show in vga and 20 led and writ in ise7.1(2012)
Platform: | Size: 327680 | Author: mahdi | Hits:

[VHDL-FPGA-Verilogps2

Description: 用VHDL语言实现了PS/2通信协议,PS/2是一种双向同步的串行通信协议。-VHDL language using a PS/2 communication protocol, PS/2 is a two-way synchronous serial communication protocol.
Platform: | Size: 4096 | Author: 刀刀 | Hits:

[VHDL-FPGA-Verilogps2

Description: 基于vhdl的ps2键盘编码显示模块,经测试,效果非常好-Vhdl coding based on the ps2 keyboard display module, tested, very good
Platform: | Size: 393216 | Author: zhang | Hits:

[VHDL-FPGA-Verilogkeyboard

Description: PS2键盘控制数码管输入数字和点阵显示字母-PS2 keyboard control LED dot matrix display input numbers and letters
Platform: | Size: 2048 | Author: 王蕾 | Hits:

[VHDL-FPGA-Verilogps2

Description: 基于VHDL的ps/2键盘接口的设计与实现。代码简单易懂。-Based on VHDL ps/2 keyboard interface of design and implementation. Code simple and understandable.
Platform: | Size: 231424 | Author: 郑海波 | Hits:

[VHDL-FPGA-Verilogfpga_dk_ps2_vga

Description: ps2 vga interface in vhdl code
Platform: | Size: 2948096 | Author: frostmourne089 | Hits:

[VHDL-FPGA-Verilogps2

Description: 基于VHDL语言的fpga ps2口通讯的源程序,经验证可用,开发环境Quartus -VHDL FPGA PS2 port Quartus ii
Platform: | Size: 4096 | Author: 谢家 | Hits:

[VHDL-FPGA-VerilogPS2-mouse

Description: 用VHDL语言实现一个简单的PS/2接口鼠标的控制,下载到FPGA芯片中,实现鼠标初始化,并对鼠标数据传输进行监控,输出一个鼠标光标地址和按钮状态。-With VHDL a simple PS/2 interface mouse control, downloaded to the FPGA chip, the realization of the mouse initialization, monitor and mouse data transmission, the output of a mouse cursor address and button status.
Platform: | Size: 15360 | Author: chen | Hits:
« 1 2 3 4 5 67 8 9 10 »

CodeBus www.codebus.net